annotate vendor/github.com/alecthomas/chroma/v2/lexers/embedded/systemverilog.xml @ 66:787b5ee0289d draft

Use vendored modules Signed-off-by: Izuru Yakumo <yakumo.izuru@chaotic.ninja>
author yakumo.izuru
date Sun, 23 Jul 2023 13:18:53 +0000
parents
children
Ignore whitespace changes - Everywhere: Within whitespace: At end of lines:
rev   line source
66
787b5ee0289d Use vendored modules
yakumo.izuru
parents:
diff changeset
1 <lexer>
787b5ee0289d Use vendored modules
yakumo.izuru
parents:
diff changeset
2 <config>
787b5ee0289d Use vendored modules
yakumo.izuru
parents:
diff changeset
3 <name>systemverilog</name>
787b5ee0289d Use vendored modules
yakumo.izuru
parents:
diff changeset
4 <alias>systemverilog</alias>
787b5ee0289d Use vendored modules
yakumo.izuru
parents:
diff changeset
5 <alias>sv</alias>
787b5ee0289d Use vendored modules
yakumo.izuru
parents:
diff changeset
6 <filename>*.sv</filename>
787b5ee0289d Use vendored modules
yakumo.izuru
parents:
diff changeset
7 <filename>*.svh</filename>
787b5ee0289d Use vendored modules
yakumo.izuru
parents:
diff changeset
8 <mime_type>text/x-systemverilog</mime_type>
787b5ee0289d Use vendored modules
yakumo.izuru
parents:
diff changeset
9 <ensure_nl>true</ensure_nl>
787b5ee0289d Use vendored modules
yakumo.izuru
parents:
diff changeset
10 </config>
787b5ee0289d Use vendored modules
yakumo.izuru
parents:
diff changeset
11 <rules>
787b5ee0289d Use vendored modules
yakumo.izuru
parents:
diff changeset
12 <state name="macro">
787b5ee0289d Use vendored modules
yakumo.izuru
parents:
diff changeset
13 <rule pattern="[^/\n]+">
787b5ee0289d Use vendored modules
yakumo.izuru
parents:
diff changeset
14 <token type="CommentPreproc"/>
787b5ee0289d Use vendored modules
yakumo.izuru
parents:
diff changeset
15 </rule>
787b5ee0289d Use vendored modules
yakumo.izuru
parents:
diff changeset
16 <rule pattern="/[*](.|\n)*?[*]/">
787b5ee0289d Use vendored modules
yakumo.izuru
parents:
diff changeset
17 <token type="CommentMultiline"/>
787b5ee0289d Use vendored modules
yakumo.izuru
parents:
diff changeset
18 </rule>
787b5ee0289d Use vendored modules
yakumo.izuru
parents:
diff changeset
19 <rule pattern="//.*?\n">
787b5ee0289d Use vendored modules
yakumo.izuru
parents:
diff changeset
20 <token type="CommentSingle"/>
787b5ee0289d Use vendored modules
yakumo.izuru
parents:
diff changeset
21 <pop depth="1"/>
787b5ee0289d Use vendored modules
yakumo.izuru
parents:
diff changeset
22 </rule>
787b5ee0289d Use vendored modules
yakumo.izuru
parents:
diff changeset
23 <rule pattern="/">
787b5ee0289d Use vendored modules
yakumo.izuru
parents:
diff changeset
24 <token type="CommentPreproc"/>
787b5ee0289d Use vendored modules
yakumo.izuru
parents:
diff changeset
25 </rule>
787b5ee0289d Use vendored modules
yakumo.izuru
parents:
diff changeset
26 <rule pattern="(?&lt;=\\)\n">
787b5ee0289d Use vendored modules
yakumo.izuru
parents:
diff changeset
27 <token type="CommentPreproc"/>
787b5ee0289d Use vendored modules
yakumo.izuru
parents:
diff changeset
28 </rule>
787b5ee0289d Use vendored modules
yakumo.izuru
parents:
diff changeset
29 <rule pattern="\n">
787b5ee0289d Use vendored modules
yakumo.izuru
parents:
diff changeset
30 <token type="CommentPreproc"/>
787b5ee0289d Use vendored modules
yakumo.izuru
parents:
diff changeset
31 <pop depth="1"/>
787b5ee0289d Use vendored modules
yakumo.izuru
parents:
diff changeset
32 </rule>
787b5ee0289d Use vendored modules
yakumo.izuru
parents:
diff changeset
33 </state>
787b5ee0289d Use vendored modules
yakumo.izuru
parents:
diff changeset
34 <state name="import">
787b5ee0289d Use vendored modules
yakumo.izuru
parents:
diff changeset
35 <rule pattern="[\w:]+\*?">
787b5ee0289d Use vendored modules
yakumo.izuru
parents:
diff changeset
36 <token type="NameNamespace"/>
787b5ee0289d Use vendored modules
yakumo.izuru
parents:
diff changeset
37 <pop depth="1"/>
787b5ee0289d Use vendored modules
yakumo.izuru
parents:
diff changeset
38 </rule>
787b5ee0289d Use vendored modules
yakumo.izuru
parents:
diff changeset
39 </state>
787b5ee0289d Use vendored modules
yakumo.izuru
parents:
diff changeset
40 <state name="root">
787b5ee0289d Use vendored modules
yakumo.izuru
parents:
diff changeset
41 <rule pattern="^\s*`define">
787b5ee0289d Use vendored modules
yakumo.izuru
parents:
diff changeset
42 <token type="CommentPreproc"/>
787b5ee0289d Use vendored modules
yakumo.izuru
parents:
diff changeset
43 <push state="macro"/>
787b5ee0289d Use vendored modules
yakumo.izuru
parents:
diff changeset
44 </rule>
787b5ee0289d Use vendored modules
yakumo.izuru
parents:
diff changeset
45 <rule pattern="^(\s*)(package)(\s+)">
787b5ee0289d Use vendored modules
yakumo.izuru
parents:
diff changeset
46 <bygroups>
787b5ee0289d Use vendored modules
yakumo.izuru
parents:
diff changeset
47 <token type="Text"/>
787b5ee0289d Use vendored modules
yakumo.izuru
parents:
diff changeset
48 <token type="KeywordNamespace"/>
787b5ee0289d Use vendored modules
yakumo.izuru
parents:
diff changeset
49 <token type="Text"/>
787b5ee0289d Use vendored modules
yakumo.izuru
parents:
diff changeset
50 </bygroups>
787b5ee0289d Use vendored modules
yakumo.izuru
parents:
diff changeset
51 </rule>
787b5ee0289d Use vendored modules
yakumo.izuru
parents:
diff changeset
52 <rule pattern="^(\s*)(import)(\s+)(&#34;DPI(?:-C)?&#34;)(\s+)">
787b5ee0289d Use vendored modules
yakumo.izuru
parents:
diff changeset
53 <bygroups>
787b5ee0289d Use vendored modules
yakumo.izuru
parents:
diff changeset
54 <token type="Text"/>
787b5ee0289d Use vendored modules
yakumo.izuru
parents:
diff changeset
55 <token type="KeywordNamespace"/>
787b5ee0289d Use vendored modules
yakumo.izuru
parents:
diff changeset
56 <token type="Text"/>
787b5ee0289d Use vendored modules
yakumo.izuru
parents:
diff changeset
57 <token type="LiteralString"/>
787b5ee0289d Use vendored modules
yakumo.izuru
parents:
diff changeset
58 <token type="Text"/>
787b5ee0289d Use vendored modules
yakumo.izuru
parents:
diff changeset
59 </bygroups>
787b5ee0289d Use vendored modules
yakumo.izuru
parents:
diff changeset
60 </rule>
787b5ee0289d Use vendored modules
yakumo.izuru
parents:
diff changeset
61 <rule pattern="^(\s*)(import)(\s+)">
787b5ee0289d Use vendored modules
yakumo.izuru
parents:
diff changeset
62 <bygroups>
787b5ee0289d Use vendored modules
yakumo.izuru
parents:
diff changeset
63 <token type="Text"/>
787b5ee0289d Use vendored modules
yakumo.izuru
parents:
diff changeset
64 <token type="KeywordNamespace"/>
787b5ee0289d Use vendored modules
yakumo.izuru
parents:
diff changeset
65 <token type="Text"/>
787b5ee0289d Use vendored modules
yakumo.izuru
parents:
diff changeset
66 </bygroups>
787b5ee0289d Use vendored modules
yakumo.izuru
parents:
diff changeset
67 <push state="import"/>
787b5ee0289d Use vendored modules
yakumo.izuru
parents:
diff changeset
68 </rule>
787b5ee0289d Use vendored modules
yakumo.izuru
parents:
diff changeset
69 <rule pattern="\n">
787b5ee0289d Use vendored modules
yakumo.izuru
parents:
diff changeset
70 <token type="Text"/>
787b5ee0289d Use vendored modules
yakumo.izuru
parents:
diff changeset
71 </rule>
787b5ee0289d Use vendored modules
yakumo.izuru
parents:
diff changeset
72 <rule pattern="\s+">
787b5ee0289d Use vendored modules
yakumo.izuru
parents:
diff changeset
73 <token type="Text"/>
787b5ee0289d Use vendored modules
yakumo.izuru
parents:
diff changeset
74 </rule>
787b5ee0289d Use vendored modules
yakumo.izuru
parents:
diff changeset
75 <rule pattern="\\\n">
787b5ee0289d Use vendored modules
yakumo.izuru
parents:
diff changeset
76 <token type="Text"/>
787b5ee0289d Use vendored modules
yakumo.izuru
parents:
diff changeset
77 </rule>
787b5ee0289d Use vendored modules
yakumo.izuru
parents:
diff changeset
78 <rule pattern="/(\\\n)?/(\n|(.|\n)*?[^\\]\n)">
787b5ee0289d Use vendored modules
yakumo.izuru
parents:
diff changeset
79 <token type="CommentSingle"/>
787b5ee0289d Use vendored modules
yakumo.izuru
parents:
diff changeset
80 </rule>
787b5ee0289d Use vendored modules
yakumo.izuru
parents:
diff changeset
81 <rule pattern="/(\\\n)?[*](.|\n)*?[*](\\\n)?/">
787b5ee0289d Use vendored modules
yakumo.izuru
parents:
diff changeset
82 <token type="CommentMultiline"/>
787b5ee0289d Use vendored modules
yakumo.izuru
parents:
diff changeset
83 </rule>
787b5ee0289d Use vendored modules
yakumo.izuru
parents:
diff changeset
84 <rule pattern="[{}#@]">
787b5ee0289d Use vendored modules
yakumo.izuru
parents:
diff changeset
85 <token type="Punctuation"/>
787b5ee0289d Use vendored modules
yakumo.izuru
parents:
diff changeset
86 </rule>
787b5ee0289d Use vendored modules
yakumo.izuru
parents:
diff changeset
87 <rule pattern="L?&#34;">
787b5ee0289d Use vendored modules
yakumo.izuru
parents:
diff changeset
88 <token type="LiteralString"/>
787b5ee0289d Use vendored modules
yakumo.izuru
parents:
diff changeset
89 <push state="string"/>
787b5ee0289d Use vendored modules
yakumo.izuru
parents:
diff changeset
90 </rule>
787b5ee0289d Use vendored modules
yakumo.izuru
parents:
diff changeset
91 <rule pattern="L?&#39;(\\.|\\[0-7]{1,3}|\\x[a-fA-F0-9]{1,2}|[^\\\&#39;\n])&#39;">
787b5ee0289d Use vendored modules
yakumo.izuru
parents:
diff changeset
92 <token type="LiteralStringChar"/>
787b5ee0289d Use vendored modules
yakumo.izuru
parents:
diff changeset
93 </rule>
787b5ee0289d Use vendored modules
yakumo.izuru
parents:
diff changeset
94 <rule pattern="(\d+\.\d*|\.\d+|\d+)[eE][+-]?\d+[lL]?">
787b5ee0289d Use vendored modules
yakumo.izuru
parents:
diff changeset
95 <token type="LiteralNumberFloat"/>
787b5ee0289d Use vendored modules
yakumo.izuru
parents:
diff changeset
96 </rule>
787b5ee0289d Use vendored modules
yakumo.izuru
parents:
diff changeset
97 <rule pattern="(\d+\.\d*|\.\d+|\d+[fF])[fF]?">
787b5ee0289d Use vendored modules
yakumo.izuru
parents:
diff changeset
98 <token type="LiteralNumberFloat"/>
787b5ee0289d Use vendored modules
yakumo.izuru
parents:
diff changeset
99 </rule>
787b5ee0289d Use vendored modules
yakumo.izuru
parents:
diff changeset
100 <rule pattern="([0-9]+)|(\&#39;h)[0-9a-fA-F]+">
787b5ee0289d Use vendored modules
yakumo.izuru
parents:
diff changeset
101 <token type="LiteralNumberHex"/>
787b5ee0289d Use vendored modules
yakumo.izuru
parents:
diff changeset
102 </rule>
787b5ee0289d Use vendored modules
yakumo.izuru
parents:
diff changeset
103 <rule pattern="([0-9]+)|(\&#39;b)[01]+">
787b5ee0289d Use vendored modules
yakumo.izuru
parents:
diff changeset
104 <token type="LiteralNumberBin"/>
787b5ee0289d Use vendored modules
yakumo.izuru
parents:
diff changeset
105 </rule>
787b5ee0289d Use vendored modules
yakumo.izuru
parents:
diff changeset
106 <rule pattern="([0-9]+)|(\&#39;d)[0-9]+">
787b5ee0289d Use vendored modules
yakumo.izuru
parents:
diff changeset
107 <token type="LiteralNumberInteger"/>
787b5ee0289d Use vendored modules
yakumo.izuru
parents:
diff changeset
108 </rule>
787b5ee0289d Use vendored modules
yakumo.izuru
parents:
diff changeset
109 <rule pattern="([0-9]+)|(\&#39;o)[0-7]+">
787b5ee0289d Use vendored modules
yakumo.izuru
parents:
diff changeset
110 <token type="LiteralNumberOct"/>
787b5ee0289d Use vendored modules
yakumo.izuru
parents:
diff changeset
111 </rule>
787b5ee0289d Use vendored modules
yakumo.izuru
parents:
diff changeset
112 <rule pattern="\&#39;[01xz]">
787b5ee0289d Use vendored modules
yakumo.izuru
parents:
diff changeset
113 <token type="LiteralNumber"/>
787b5ee0289d Use vendored modules
yakumo.izuru
parents:
diff changeset
114 </rule>
787b5ee0289d Use vendored modules
yakumo.izuru
parents:
diff changeset
115 <rule pattern="\d+[Ll]?">
787b5ee0289d Use vendored modules
yakumo.izuru
parents:
diff changeset
116 <token type="LiteralNumberInteger"/>
787b5ee0289d Use vendored modules
yakumo.izuru
parents:
diff changeset
117 </rule>
787b5ee0289d Use vendored modules
yakumo.izuru
parents:
diff changeset
118 <rule pattern="\*/">
787b5ee0289d Use vendored modules
yakumo.izuru
parents:
diff changeset
119 <token type="Error"/>
787b5ee0289d Use vendored modules
yakumo.izuru
parents:
diff changeset
120 </rule>
787b5ee0289d Use vendored modules
yakumo.izuru
parents:
diff changeset
121 <rule pattern="[~!%^&amp;*+=|?:&lt;&gt;/-]">
787b5ee0289d Use vendored modules
yakumo.izuru
parents:
diff changeset
122 <token type="Operator"/>
787b5ee0289d Use vendored modules
yakumo.izuru
parents:
diff changeset
123 </rule>
787b5ee0289d Use vendored modules
yakumo.izuru
parents:
diff changeset
124 <rule pattern="[()\[\],.;\&#39;]">
787b5ee0289d Use vendored modules
yakumo.izuru
parents:
diff changeset
125 <token type="Punctuation"/>
787b5ee0289d Use vendored modules
yakumo.izuru
parents:
diff changeset
126 </rule>
787b5ee0289d Use vendored modules
yakumo.izuru
parents:
diff changeset
127 <rule pattern="`[a-zA-Z_]\w*">
787b5ee0289d Use vendored modules
yakumo.izuru
parents:
diff changeset
128 <token type="NameConstant"/>
787b5ee0289d Use vendored modules
yakumo.izuru
parents:
diff changeset
129 </rule>
787b5ee0289d Use vendored modules
yakumo.izuru
parents:
diff changeset
130 <rule pattern="(pulsestyle_ondetect|pulsestyle_onevent|noshowcancelled|sync_accept_on|sync_reject_on|showcancelled|timeprecision|endprimitive|randsequence|s_until_with|s_eventually|always_latch|endinterface|illegal_bins|macromodule|always_comb|endfunction|endproperty|first_match|endsequence|endgenerate|ignore_bins|endclocking|until_with|localparam|coverpoint|eventually|throughout|s_nexttime|endprogram|endspecify|endchecker|wait_order|constraint|covergroup|endpackage|endconfig|interface|accept_on|shortreal|parameter|primitive|intersect|protected|join_none|automatic|reject_on|always_ff|specparam|endmodule|shortint|join_any|endclass|sequence|defparam|scalared|deassign|endgroup|timeunit|instance|continue|restrict|clocking|nexttime|s_always|rtranif1|endtable|rtranif0|unsigned|priority|vectored|property|pulldown|wildcard|generate|function|realtime|forkjoin|randcase|context|forever|release|virtual|strong0|program|untyped|posedge|package|foreach|extends|specify|unique0|typedef|chandle|implies|checker|negedge|tranif1|initial|modport|strong1|matches|tranif0|endtask|integer|supply0|endcase|supply1|longint|disable|s_until|default|liblist|library|include|bufif0|design|tagged|struct|inside|medium|signed|config|highz1|incdir|import|expect|triand|trireg|export|unique|notif0|notif1|return|ifnone|output|highz0|packed|bufif1|repeat|global|genvar|binsof|extern|string|before|static|assume|assign|pullup|assert|always|within|strong|module|final|union|rcmos|casex|casez|trior|alias|pull1|pull0|break|uwire|randc|rnmos|rpmos|rtran|class|const|cover|weak1|until|logic|local|weak0|large|table|force|input|inout|small|solve|begin|super|event|while|cross|void|fork|enum|wait|cmos|bind|else|edge|join|nand|task|this|dist|time|cell|nmos|tran|wand|wire|bins|with|tri1|pmos|xnor|pure|type|real|rand|case|byte|weak|tri0|null|int|use|ref|var|tri|end|for|wor|iff|xor|bit|let|new|nor|and|not|reg|buf|or|if|do)\b">
787b5ee0289d Use vendored modules
yakumo.izuru
parents:
diff changeset
131 <token type="Keyword"/>
787b5ee0289d Use vendored modules
yakumo.izuru
parents:
diff changeset
132 </rule>
787b5ee0289d Use vendored modules
yakumo.izuru
parents:
diff changeset
133 <rule pattern="(`nounconnected_drive|`unconnected_drive|`default_nettype|`begin_keywords|`endcelldefine|`end_keywords|`undefineall|`celldefine|`timescale|`__LINE__|`resetall|`__FILE__|`include|`ifndef|`pragma|`define|`undef|`endif|`elsif|`ifdef|`else|`line)\b">
787b5ee0289d Use vendored modules
yakumo.izuru
parents:
diff changeset
134 <token type="CommentPreproc"/>
787b5ee0289d Use vendored modules
yakumo.izuru
parents:
diff changeset
135 </rule>
787b5ee0289d Use vendored modules
yakumo.izuru
parents:
diff changeset
136 <rule pattern="(\$dumpportsflush|\$dumpportslimit|\$value\$plusargs|\$dumpportsoff|\$dumpportsall|\$dumpportson|\$monitoroff|\$writememb|\$fdisplayo|\$fdisplayh|\$dumpports|\$dumplimit|\$dumpflush|\$fmonitorb|\$fmonitoro|\$monitoron|\$fdisplayb|\$writememh|\$fmonitorh|\$readmemb|\$fdisplay|\$monitorh|\$dumpfile|\$sformatf|\$monitorb|\$monitoro|\$displayb|\$plusargs|\$fmonitor|\$displayo|\$fstrobeo|\$displayh|\$fstrobeh|\$fstrobeb|\$readmemh|\$dumpvars|\$fstrobe|\$sformat|\$strobeb|\$swriteh|\$strobeh|\$strobeo|\$swriteb|\$fwriteh|\$fwriteo|\$monitor|\$dumpall|\$dumpoff|\$fwriteb|\$display|\$swriteo|\$fflush|\$random|\$dumpon|\$fscanf|\$rewind|\$writeh|\$writeo|\$sscanf|\$strobe|\$writeb|\$finish|\$ungetc|\$fclose|\$ferror|\$swrite|\$fwrite|\$fgetc|\$fseek|\$fgets|\$write|\$fopen|\$fread|\$ftell|\$test|\$feof)\b">
787b5ee0289d Use vendored modules
yakumo.izuru
parents:
diff changeset
137 <token type="NameBuiltin"/>
787b5ee0289d Use vendored modules
yakumo.izuru
parents:
diff changeset
138 </rule>
787b5ee0289d Use vendored modules
yakumo.izuru
parents:
diff changeset
139 <rule pattern="(class)(\s+)">
787b5ee0289d Use vendored modules
yakumo.izuru
parents:
diff changeset
140 <bygroups>
787b5ee0289d Use vendored modules
yakumo.izuru
parents:
diff changeset
141 <token type="Keyword"/>
787b5ee0289d Use vendored modules
yakumo.izuru
parents:
diff changeset
142 <token type="Text"/>
787b5ee0289d Use vendored modules
yakumo.izuru
parents:
diff changeset
143 </bygroups>
787b5ee0289d Use vendored modules
yakumo.izuru
parents:
diff changeset
144 <push state="classname"/>
787b5ee0289d Use vendored modules
yakumo.izuru
parents:
diff changeset
145 </rule>
787b5ee0289d Use vendored modules
yakumo.izuru
parents:
diff changeset
146 <rule pattern="(woshortreal|shortint|realtime|longint|integer|supply0|supply1|triand|trireg|uwire|logic|trior|byte|wand|tri0|tri1|time|real|wire|reg|bit|int|tri)\b">
787b5ee0289d Use vendored modules
yakumo.izuru
parents:
diff changeset
147 <token type="KeywordType"/>
787b5ee0289d Use vendored modules
yakumo.izuru
parents:
diff changeset
148 </rule>
787b5ee0289d Use vendored modules
yakumo.izuru
parents:
diff changeset
149 <rule pattern="[a-zA-Z_]\w*:(?!:)">
787b5ee0289d Use vendored modules
yakumo.izuru
parents:
diff changeset
150 <token type="NameLabel"/>
787b5ee0289d Use vendored modules
yakumo.izuru
parents:
diff changeset
151 </rule>
787b5ee0289d Use vendored modules
yakumo.izuru
parents:
diff changeset
152 <rule pattern="\$?[a-zA-Z_]\w*">
787b5ee0289d Use vendored modules
yakumo.izuru
parents:
diff changeset
153 <token type="Name"/>
787b5ee0289d Use vendored modules
yakumo.izuru
parents:
diff changeset
154 </rule>
787b5ee0289d Use vendored modules
yakumo.izuru
parents:
diff changeset
155 </state>
787b5ee0289d Use vendored modules
yakumo.izuru
parents:
diff changeset
156 <state name="classname">
787b5ee0289d Use vendored modules
yakumo.izuru
parents:
diff changeset
157 <rule pattern="[a-zA-Z_]\w*">
787b5ee0289d Use vendored modules
yakumo.izuru
parents:
diff changeset
158 <token type="NameClass"/>
787b5ee0289d Use vendored modules
yakumo.izuru
parents:
diff changeset
159 <pop depth="1"/>
787b5ee0289d Use vendored modules
yakumo.izuru
parents:
diff changeset
160 </rule>
787b5ee0289d Use vendored modules
yakumo.izuru
parents:
diff changeset
161 </state>
787b5ee0289d Use vendored modules
yakumo.izuru
parents:
diff changeset
162 <state name="string">
787b5ee0289d Use vendored modules
yakumo.izuru
parents:
diff changeset
163 <rule pattern="&#34;">
787b5ee0289d Use vendored modules
yakumo.izuru
parents:
diff changeset
164 <token type="LiteralString"/>
787b5ee0289d Use vendored modules
yakumo.izuru
parents:
diff changeset
165 <pop depth="1"/>
787b5ee0289d Use vendored modules
yakumo.izuru
parents:
diff changeset
166 </rule>
787b5ee0289d Use vendored modules
yakumo.izuru
parents:
diff changeset
167 <rule pattern="\\([\\abfnrtv&#34;\&#39;]|x[a-fA-F0-9]{2,4}|[0-7]{1,3})">
787b5ee0289d Use vendored modules
yakumo.izuru
parents:
diff changeset
168 <token type="LiteralStringEscape"/>
787b5ee0289d Use vendored modules
yakumo.izuru
parents:
diff changeset
169 </rule>
787b5ee0289d Use vendored modules
yakumo.izuru
parents:
diff changeset
170 <rule pattern="[^\\&#34;\n]+">
787b5ee0289d Use vendored modules
yakumo.izuru
parents:
diff changeset
171 <token type="LiteralString"/>
787b5ee0289d Use vendored modules
yakumo.izuru
parents:
diff changeset
172 </rule>
787b5ee0289d Use vendored modules
yakumo.izuru
parents:
diff changeset
173 <rule pattern="\\\n">
787b5ee0289d Use vendored modules
yakumo.izuru
parents:
diff changeset
174 <token type="LiteralString"/>
787b5ee0289d Use vendored modules
yakumo.izuru
parents:
diff changeset
175 </rule>
787b5ee0289d Use vendored modules
yakumo.izuru
parents:
diff changeset
176 <rule pattern="\\">
787b5ee0289d Use vendored modules
yakumo.izuru
parents:
diff changeset
177 <token type="LiteralString"/>
787b5ee0289d Use vendored modules
yakumo.izuru
parents:
diff changeset
178 </rule>
787b5ee0289d Use vendored modules
yakumo.izuru
parents:
diff changeset
179 </state>
787b5ee0289d Use vendored modules
yakumo.izuru
parents:
diff changeset
180 </rules>
787b5ee0289d Use vendored modules
yakumo.izuru
parents:
diff changeset
181 </lexer>